На главную страницу сайта
· Наш магазин · Объявления · Рейтинг · Статьи · Частоты · Копилка · Аэродромы · Live!
· Файлы · Диапазоны · Сигналы · Музей · Mods · LPD-форум · Клуб · Радиостанции
На сайте: гостей - 55,
участников - 5 [ sindicat, DangerAlex, gladiator, Sanchous_123, WolAN]
 · Начало · Опросы · События · Статистика · Поиск · Регистрация · Правила · FAQ · Галерея ·
 Форум —› Разработка, ремонт, схемы, модификации —› Si5351 Как считать регистры на нужную частоту? 
Различные приборы, оборудование, спутниковые и радиотелефоны!


Усилители сигнала и мощности

Усилители сотовой связи

Металлоискатели

Радионяни и видеоняни

Измерители КСВ и мощности
 Страница:  1  2  »»Поиск в теме
Автор Сообщение
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 12 Сен 2016 04:02:20 · Поправил: RadioKoteg (12 Сен 2016 20:00:29) #  

Приветствую!
Пришли платки Si5351, "навоял" я программку на DLPHI7, в общем я понял что оно на удивление работает и что я научился закидывать в микросхему значение регистров, это конечно радует но приходиться считать нужную частоту в программе от производителя, "генерить" состояние регистров, которые пихать эти значения в свою программу.

Хочется самому научится считать частоту. Чтение "даташита" AN619 меня запутало полностью, посему просьба научите считать частоту самому.


Заранее спасибо за помощь!
fly2015
Участник
Offline1.9
с июн 2006
Новокузнецк
Сообщений: 325

Дата: 12 Сен 2016 04:50:10 #  

Вот здесь обсуждался синтезатор на этой микросхеме http://www.cqham.ru/forum/showthread.php?29944-%D1%E8%ED%F2%E5%E7%E0%F2%EE%F0-%E7%E0-%EF%EE%EB%F7%E0%F1%E0/page24 . Там и исходники есть, может пригодится.
Реклама
Google
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 12 Сен 2016 05:15:06 #  

fly2015 Спасибо, видел , там к ардуино и в исходниках черт ногу сломает..
US7IGN
Участник
Offline3.5
с окт 2006
Украина
Сообщений: 2481

Дата: 12 Сен 2016 13:29:38 #  

RadioKoteg
не думаю, что тут кто-то увлекается портированием из дельфи в С++ и обратно, но гугль тебе в помощь https://www.google.com.ua/webhp?sourceid=chrome-instant&ion=1&espv=2&ie=UTF-8#q=DLPHI7+%D0%BF%D0%BE%D1%80%D1%82%D0%B8%D1%80%D0%BE%D0%B2%D0%B0%D1%82%D1%8C+%D1%81%2B%2B

З.Ы. ИМХО конечно проще сразу на С++ делать под ардуину, используя кучу готовых скетчей, но для тебя ж важен сам процесс =)
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 12 Сен 2016 17:59:28 #  

US7IGN Ну есть у меня на АРДУИНЕ и куда мне к ПИСЮКУ тыкать?

Мне не надо готовый код, мне надо что бы кто на пальцах рассказал объяснил математику пересчета и в какие регистры это вливать.

Остальное я все сделаю и даже тут дам программку..
US7IGN
Участник
Offline3.5
с окт 2006
Украина
Сообщений: 2481

Дата: 12 Сен 2016 18:43:08 · Поправил: US7IGN (12 Сен 2016 18:43:51) #  

RadioKoteg
ты бы внятно объяснил что ты хочешь в итоге получить?
с компа менять частоту? с компа считывать частоту? не знаешь как ардуину к компу по САТ прикрутить?
вот инфа по ардуине и Si5351
https://github.com/etherkit/Si5351Arduino

прочитай как на С++ работает и перепиши на делфи если делать нечего...
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 12 Сен 2016 18:51:20 · Поправил: RadioKoteg (12 Сен 2016 18:56:11) #  

US7IGN

Как пересчитать частоту? Есть опора (25 или 27 МГц можно свою) ее множим на некий множитель получаем VFO в районе 600 - 900 МГц, на этих частотах включается PLL, эту частоту VFO делим до нужной.

Как оптимально считать?


US7IGN Вам понятен вопрос?
US7IGN
Участник
Offline3.5
с окт 2006
Украина
Сообщений: 2481

Дата: 12 Сен 2016 19:26:49 #  

Есть опора (25 или 27 МГц можно свою)

которая подключена к выводам ХА и ХВ Si5351?

ее множим на некий множитель получаем VFO в районе 600 - 900 МГц,

силами той же Si5351?

на этих частотах включается PLL

сторонний или один из Si5351?

эту частоту VFO делим до нужной

какая нужная и чем делим?
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 12 Сен 2016 19:52:01 #  
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 12 Сен 2016 19:54:13 · Поправил: RadioKoteg (12 Сен 2016 19:58:13) #  

Как считать регистры на нужную частоту?
US7IGN
Участник
Offline3.5
с окт 2006
Украина
Сообщений: 2481

Дата: 12 Сен 2016 20:07:32 #  

да. придется вдумчиво читать =)
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 13 Сен 2016 06:38:31 · Поправил: RadioKoteg (13 Сен 2016 19:09:46) #  

Ничего, посчитаю!
Olenevod
Участник
Offline4.5
с апр 2003
Москва, СЗАО
Сообщений: 8154

Дата: 16 Сен 2016 19:11:42 #  
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 25 Дек 2016 07:17:35 · Поправил: RadioKoteg (25 Дек 2016 07:22:39) #  

Ап..


На порту LPT висит SI5351 который программируется из Windows в среде DELPHI.

Программирование осуществлено в виде заливки кодов созданных в "Si5351 ClockBuilder Desktop 6.5".

Конечно созданные скетчи частот сторонней программой и интеграция в программный код это хорошо но по естественным соображениям есть желание вводить произвольную частоту и из этого возникает вопрос как считать регистры Si5351 на нужную частоту? С какими регистрами что делать?

Может кто разбирался с этим и на пальцах на понятном языке объяснит последовательность.
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 25 Дек 2016 07:26:12 #  

растолкуйте пожалуйста, как рассчитать частоту, в какие регистры и по каким адресам ее загонять?
serk
Участник
Offline3.0
с апр 2009
Одесса
Сообщений: 1247

Дата: 26 Дек 2016 14:34:19 · Поправил: serk (26 Дек 2016 14:36:05) #  

Лично я никогда не отличался повышенной слишком уж сообразительностью, но прочитав признаюсь раз десять апликэйшн нот вполне решил эту задачу. Там есть пример , так вот по нему лучше и действовать.

Да, есть неожиданные подводные камни, которые нормальному человеку тем более радиолюбителю непонятны (зачем так? почему сразу не сделать по-человечески?), но сделано как сделано и работает, информация в апликэйшн нот исчерпывающе-достаточная, надо только внимательно читать. Ну и думать тоже. О том, что прочитал.
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 26 Дек 2016 15:42:26 #  

serk
Значит у Вас опыта на пару порядков больше и разобрались с 10 раза, а тут впервые сталкиваюсь апликэйшн нот и у меня ступор, мож кто наставил бы получилось бы, или даже пинком, но не пришло еще прозрение, наверное не всем дано это с разбегу с апликэйшн нот и в схему.

Спасибо.
RadioKoteg
Участник
Offline4.4
с сен 2006
Киев
Сообщений: 14486

Дата: 26 Дек 2016 16:23:06 #  

Ну значит теперь будем читать в слух до полного прозрения.

В Si5351 тактовая частота множится до частот 600-900 МГц и затем делится до нужной нам частоты 2кГц .. 200МГц.

Я так понимаю что в Si5351 два абсолютно раздельных умножителя которые можно потом направить на делители, то есть использовать две частоты при максимально малом джиттере остальные выходы будут иметь большой джиттер и приближенную частоту к нужной.
AntonSor
Участник
Offline3.6
с ноя 2005
Видное, Московская область
Сообщений: 734

Дата: 26 Дек 2016 17:07:07 #  

Да, так.
Допустим, нам надо получить частоту 10,0 МГц. У нас кварц 25 МГц. ГУН перестраивается в районе 600-900 МГц и имеет делитель ФАПЧ от 15 до 90, дробным. И частота ГУН делится делителем Multisynth от 8 до 900 раз, дробно и ещё можно включить дополнительное деление на 2 - 16 (делитель R).
Даже если использовать целочисленные значения делителя Multisynth, можно делителем ФАПЧ получить частоту, некратную частоте кварца.
Смотрим, на сколько надо поделить 900 МГц до нужной частоты 10 МГц. 900/10 = 90, делится нацело. Значит, можно установить целый коэффициент деления Multisynth, равный 90. Теперь считаем, на сколько надо поделить кварц в ФАПЧ: 900/25 = 36. Устанавливаем коэффициент делителя ФАПЧ, равным 36.
Все эти коэффициенты имеют вид a+b/c. Для целого делителя нужно только a, b=0, c=1.

А если нужна частота, например, 10,235 МГц, то получается сложнее. 900/10,235 = 87,93356... - нецелое. Выбираем коэффициент деления ближайший целый с недостачей, т.е. 87. И ещё в примере с qrp-labs делают проверку на четность , и если коэффициент нечетный - отнимают ещё одну единицу. Это нужно, потому что в an619 прописано, если Multisynth работает в целочисленном режиме - коэффициент должен быть четным. В моем примере - 86 - это записывается в Multisynth
Тогда частота ГУН должна быть 10,235*86 = 880,21 МГц. И делитель ФАПЧ должен быть дробный. 880,21/25 (частота кварца) = 35,2084. Раскидываем его по a+b/c. a, понятно, равно 35. А вот, чтобы получить b и c, либо переводим эту дробь 0,2084 из десятичной в обыкновенную по алгоритму из учебника, либо, мирясь с небольшой ошибкой, принимаем c максимально возможному значению - 1 048 575. b = 0.2084*1048575 = 218523.03 и, округляя, 218523. Получается ошибка не более 10-4 Гц - нестабильность кварца будет больше. И записываем в делители ФАПЧ 35+218523/1048575
Nefteugansk24
Участник
Offline2.7
с сен 2007
ХМАО-Югра
Сообщений: 396

Дата: 06 Янв 2018 20:01:18 · Поправил: Nefteugansk24 (06 Янв 2018 21:28:07) #  

Приветствую всех! Подскажите пожалуйста, имеется плата Si5351, энкодер и arduino nano. Скетчи, которые есть в интернете для управления Si5351 у меня почему-то не компилируются. Скетчи из примеров работают нормально. Подозреваю, что проблема в библиотеках Si5351. Помогите пожалуйста найти рабочий скетч.
GoBliNuke
Участник
Offline5.2
с авг 2012
RadioAlert.ru
Сообщений: 943

Дата: 06 Янв 2018 20:40:39 #  

Nefteugansk24
В описании синтеза, несколько раз видел - упоминают, что библиотеку нужно прикрепить другую. Кстати, и по железу обычно есть комментарии - где-то резистор повесить и т.д.
Nefteugansk24
Участник
Offline2.7
с сен 2007
ХМАО-Югра
Сообщений: 396

Дата: 06 Янв 2018 21:27:14 #  

GoBliNuke
Спасибо! Буду искать.
studentkra
Участник
Offline4.5
с мая 2017
Красноармейск М.О.
Сообщений: 1321

Дата: 27 Окт 2021 13:19:04 #  

Друзья, нет желающих помочь рассчитать регистры Si5351 для генерации частоты 108.000 МГц с использованием кварца на 27.000 МГц? В принципе полно разных библиотек, но есть условие. Минимальный джиттер. Вроде бы всё просто. Частота PLL 864 МГц (27 МГц * 32). Делитель 8 ( 864 / 8 = 108 МГц). Но я запутался. Спасибо.
Zmej
Участник
Offline3.1
с дек 2005
...
Сообщений: 10607

Дата: 27 Окт 2021 13:32:14 #  

studentkra

Вроде для минимального надо целочисленный делитель применять, "но это не точно" - только со слов тех, кто программировал, я только "повторяльщик" готовых схем/программ.
Ну и там много есть подвохов, вроде один какой-то спур убрали, а где-то в стороне другой породился при разном способе расчета коэффициентов.
studentkra
Участник
Offline4.5
с мая 2017
Красноармейск М.О.
Сообщений: 1321

Дата: 27 Окт 2021 13:40:17 · Поправил: studentkra (27 Окт 2021 13:59:09) #  

Zmej, спасибо! Да, целочисленные делители нужно. Скачал ихнюю прогу для расчетов. Clock Builder PRO. Но что-то мне кажется, что она дробные делители насчитала мне.
Вот ссылка на описание на русском (перевод документа AN619) Но всё равно непонятно (((
http://microsin.net/adminstuff/hardware/an619-manually-generating-si5351-register-map.html

Да в принципе мне всё равно, какая частота будет на выходе (106 ~ 114 МГц) хоть любая дробная. Главное минимум спуров(джиттер). Кварцы есть на 25 МГц и 27 МГц.
studentkra
Участник
Offline4.5
с мая 2017
Красноармейск М.О.
Сообщений: 1321

Дата: 27 Окт 2021 16:41:49 · Поправил: studentkra (27 Окт 2021 16:42:51) #  

В общем, нашел библиотеку Adafruit_Si5351.
Там есть команда для принудительной работы с целочисленными делителями и множителями. Как раз то, что надо! Буду пробовать.

/* INTEGER ONLY MODE --> most accurate output */
/* Setup PLLA to integer only mode @ 900MHz (must be 600..900MHz) */
/* Set Multisynth 0 to 112.5MHz using integer only mode (div by 4/6/8) */
/* 27MHz * 32 = 864 MHz, then 864 MHz / 8 = 108.000 MHz */
clockgen.setupPLLInt(SI5351_PLL_A, 32);
clockgen.setupMultisynthInt(0, SI5351_PLL_A, SI5351_MULTISYNTH_DIV_8);
Sergey4565
Участник
Offline5.0
с сен 2007
Москва
Сообщений: 8299

Дата: 27 Окт 2021 17:12:45 #  

А не проще купить для этого TCXO на подходящую частоту? Если перестройка ненужна.
studentkra
Участник
Offline4.5
с мая 2017
Красноармейск М.О.
Сообщений: 1321

Дата: 27 Окт 2021 17:20:26 #  

Проще конечно. Но это ждать надо. А мне нужно как обычно прямо сейчас )))
Девайс работает, но спуры эти просто достали!
studentkra
Участник
Offline4.5
с мая 2017
Красноармейск М.О.
Сообщений: 1321

Дата: 28 Окт 2021 20:22:35 · Поправил: studentkra (28 Окт 2021 20:35:10) #  

В общем, режим Integer mode only, почти никак не изменил ситуацию. Лес палок вокруг центральной частоты ((
Попробовал микросхему CY25814 (умножает частоту подключенного к ней кварца на 4). Картина гораздо красивее получилась.
Пока сделал вывод, что Si5351 не очень подходит в качестве гетеродина для смесителей с широкой полосой.
Есть похожий синтезатор Si5328. Jow-jitter. Используется например в генераторе частот LEO BODNAR. Но это совсем другая ценовая категория.
Zmej
Участник
Offline3.1
с дек 2005
...
Сообщений: 10607

Дата: 28 Окт 2021 20:47:14 #  

Заказной-недорогой тцхо тоже будет фуфло, они в виде программируемого синтезатора внутри.

А вы другие частоты случайно не берете из этой сишки тоже? Если только одну - обычно меньше палок всяких.
Реклама
Google
 Страница:  1  2  »» 

Создавать сообщения могут только зарегистрированные участники форума.
Войти в форум :: » Логин » Пароль
Начало
Средства связи, рации. Купить радиостанции Motorola, Yaesu, Vertex, приемники, антенны.
Время загрузки страницы (сек.): 0.022; miniBB ®